CWE-1224: Improper Restriction of Write-Once Bit Fields

Description

The hardware design control register "sticky bits" or write-once bit fields are improperly implemented, such that they can be reprogrammed by software.

Submission Date :

Dec. 12, 2019, midnight

Modification Date :

2023-06-29 00:00:00+00:00

Organization :

Intel Corporation
Extended Description

Integrated circuits and hardware IP software programmable controls and settings are commonly stored in register circuits. These register contents have to be initialized at hardware reset to define default values that are hard coded in the hardware description language (HDL) code of the hardware unit. A common security protection method used to protect register settings from modification by software is to make the settings write-once or "sticky." This allows writing to such registers only once, whereupon they become read-only. This is useful to allow initial boot software to configure systems settings to secure values while blocking runtime software from modifying such hardware settings.

Failure to implement write-once restrictions in hardware design can expose such registers to being re-programmed by software and written multiple times. For example, write-once fields could be implemented to only be write-protected if they have been set to value "1", wherein they would work as "write-1-once" and not "write-once".

Example Vulnerable Codes

Example - 1

Consider the example design module system verilog code shown below. register_write_once_example module is an example of register that has a write-once field defined. Bit 0 field captures the write_once_status value. This implementation can be for a register that is defined by specification to be a write-once register, since the write_once_status field gets written by input data bit 0 on first write.




Data_out <= 16'h0000;Write_once_status <= 1'b0; 

Data_out <= Data_in & 16'hFFFE;Write_once_status <= Data_in[0]; // Input bit 0 sets Write_once_status

Data_out[15:1] <= Data_out[15:1]; Data_out[0] <= Write_once_status; 
if (~ip_resetn)beginend else if (write & ~Write_once_status)beginendelse if (~write)begin end 
module register_write_once_example( input [15:0] Data_in, input Clk, input ip_resetn, input global_resetn,input write,output reg [15:0] Data_out );reg Write_once_status; always @(posedge Clk or negedge ip_resetn)endmodule

The above example only locks further writes if write_once_status bit is written to one. So it acts as write_1-Once instead of the write-once attribute.




Data_out <= 16'h0000; Write_once_status <= 1'b0; 

Data_out <= Data_in & 16'hFFFE; Write_once_status <= 1'b1; // Write once status set on first write, independent of input 

Data_out[15:1] <= Data_out[15:1]; Data_out[0] <= Write_once_status; 
if (~ip_resetn) begin end else if (write & ~Write_once_status) begin end else if (~write) begin end 
module register_write_once_example ( input [15:0] Data_in, input Clk, input ip_resetn, input global_resetn, input write, output reg [15:0] Data_out ); reg Write_once_status; always @(posedge Clk or negedge ip_resetn) endmodule

Related Weaknesses

This table shows the weaknesses and high level categories that are related to this weakness. These relationships are defined to give an overview of the different insight to similar items that may exist at higher and lower levels of abstraction.

Visit http://cwe.mitre.org/ for more details.