CWE-1243: Sensitive Non-Volatile Information Not Protected During Debug

Description

Access to security-sensitive information stored in fuses is not limited during debug.

Submission Date :

Feb. 12, 2020, midnight

Modification Date :

2023-10-26 00:00:00+00:00

Organization :

Intel Corporation
Extended Description

Several security-sensitive values are programmed into fuses to be used during early-boot flows or later at runtime. Examples of these security-sensitive values include root keys, encryption keys, manufacturing-specific information, chip-manufacturer-specific information, and original-equipment-manufacturer (OEM) data. After the chip is powered on, these values are sensed from fuses and stored in temporary locations such as registers and local memories. These locations are typically access-control protected from untrusted agents capable of accessing them. Even to trusted agents, only read-access is provided. However, these locations are not blocked during debug operations, allowing a user to access this sensitive information.

Example Vulnerable Codes

Example - 1

Sensitive manufacturing data (such as die information) are stored in fuses. When the chip powers on, these values are read from the fuses and stored in microarchitectural registers. These registers are only given read access to trusted software running on the core. Untrusted software running on the core is not allowed to access these registers.

All microarchitectural registers in this chip can be accessed through the debug interface. As a result, even an untrusted debugger can access this data and retrieve sensitive manufacturing data.
Registers used to store sensitive values read from fuses should be blocked during debug. These registers should be disconnected from the debug interface.

Example - 2

The example code below is taken from one of the AES cryptographic accelerators of the HACK@DAC'21 buggy OpenPiton SoC [REF-1366]. The operating system (OS) uses three AES keys to encrypt and decrypt sensitive data using this accelerator. These keys are sensitive data stored in fuses. The security of the OS will be compromised if any of these AES keys are leaked. During system bootup, these AES keys are sensed from fuses and stored in temporary hardware registers of the AES peripheral. Access to these temporary registers is disconnected during the debug state to prevent them from leaking through debug access. In this example (see the vulnerable code source), the registers key0, key1, and key2 are used to store the three AES keys (which are accessed through key_big0, key_big1, and key_big2 signals). The OS selects one of these three keys through the key_big signal, which is used by the AES engine.


<xhtml_b>assign key_big2    = {key2[0], key2[1], key2[2],</xhtml_b>
<xhtml_b>key2[3], key2[4], key2[5]};</xhtml_b>
<xhtml_b>assign key_big = key_sel[1] ? key_big2 : ( key_sel[0] ?</xhtml_b>
<xhtml_b>key_big1 : key_big0 );</xhtml_b>
...assign key_big0    = debug_mode_i ? 192'b0 : {key0[0],key0[1], key0[2], key0[3], key0[4], key0[5]};assign key_big1    = debug_mode_i ? 192'b0 : {key1[0],key1[1], key1[2], key1[3], key1[4], key1[5]};......

The above code illustrates an instance of a vulnerable implementation for blocking AES key mechanism when the system is in debug mode (i.e., when debug_mode_i is asserted). During debug mode, key accesses through key_big0 and key_big1 are effectively disconnected, as their values are set to zero. However, the key accessed via the key_big2 signal remains accessible, creating a potential pathway for sensitive fuse data leakage, specifically AES key2, during debug mode. Furthermore, even though it is not strictly necessary to disconnect the key_big signal when entering debug mode (since disconnecting key_big0, key_big1, and key_big2 will inherently disconnect key_big), it is advisable, in line with the defense-in-depth strategy, to also sever the connection to key_big. This additional security measure adds an extra layer of protection and safeguards the AES keys against potential future modifications to the key_big logic.

To mitigate this, disconnect access through key_big2 and key_big during debug mode [REF-1367].


<xhtml_b>debug_mode_i ? 192'b0 :</xhtml_b>
<xhtml_b>debug_mode_i ? 192'b0 :</xhtml_b>
...assign key_big0    = debug_mode_i ? 192'b0 : {key0[0],key0[1], key0[2], key0[3], key0[4], key0[5]};assign key_big1    = debug_mode_i ? 192'b0 : {key1[0],key1[1], key1[2], key1[3], key1[4], key1[5]}; assign key_big2    =  {key2[0],key2[1], key2[2], key2[3], key2[4], key2[5]};...assign key_big =  (  key_sel[1] ?key_big2 : ( key_sel[0] ? key_big1 : key_big0 )  );...

Related Weaknesses

This table shows the weaknesses and high level categories that are related to this weakness. These relationships are defined to give an overview of the different insight to similar items that may exist at higher and lower levels of abstraction.

Visit http://cwe.mitre.org/ for more details.