CWE-478: Missing Default Case in Multiple Condition Expression

Description

The code does not have a default case in an expression with multiple conditions, such as a switch statement.

Submission Date :

July 19, 2006, midnight

Modification Date :

2023-06-29 00:00:00+00:00

Organization :

MITRE
Extended Description

If a multiple-condition expression (such as a switch in C) omits the default case but does not consider or handle all possible values that could occur, then this might lead to complex logical errors and resultant weaknesses. Because of this, further decisions are made based on poor information, and cascading failure results. This cascading failure may result in any number of security issues, and constitutes a significant failure in the system.

Example Vulnerable Codes

Example - 1

The following does not properly check the return code in the case where the security_check function returns a -1 value when an error occurs. If an attacker can supply data that will invoke an error, the attacker can bypass the security check:



// //Break never reached because of exit()// 
printf("Security check failed!\n");exit(-1);break;

printf("Security check passed.\n");break;case FAILED:case PASSED:
// // program execution continues...// 
#define FAILED 0#define PASSED 1int result;...result = security_check(data);switch (result) {}...

Instead a default label should be used for unaccounted conditions:



// //Break never reached because of exit()// 
printf("Security check failed!\n");exit(-1);break;

printf("Security check passed.\n");break;

printf("Unknown error (%d), exiting...\n",result);exit(-1);case FAILED:case PASSED:default:#define FAILED 0#define PASSED 1int result;...result = security_check(data);switch (result) {}

This label is used because the assumption cannot be made that all possible cases are accounted for. A good practice is to reserve the default case for error handling.

Example - 2

In the following Java example the method getInterestRate retrieves the interest rate for the number of points for a mortgage. The number of points is provided within the input parameter and a switch statement will set the interest rate value to be returned based on the number of points.




result = new BigDecimal(INTEREST_RATE_AT_ZERO_POINTS);break;

result = new BigDecimal(INTEREST_RATE_AT_ONE_POINTS);break;

result = new BigDecimal(INTEREST_RATE_AT_TWO_POINTS);break;case 0:case 1:case 2:
BigDecimal result = new BigDecimal(INTEREST_RATE_AT_ZERO_POINTS);switch (points) {}return result;public static final String INTEREST_RATE_AT_ZERO_POINTS = "5.00";public static final String INTEREST_RATE_AT_ONE_POINTS = "4.75";public static final String INTEREST_RATE_AT_TWO_POINTS = "4.50";...public BigDecimal getInterestRate(int points) {}

However, this code assumes that the value of the points input parameter will always be 0, 1 or 2 and does not check for other incorrect values passed to the method. This can be easily accomplished by providing a default label in the switch statement that outputs an error message indicating an invalid value for the points input parameter and returning a null value.




result = new BigDecimal(INTEREST_RATE_AT_ZERO_POINTS);break;

result = new BigDecimal(INTEREST_RATE_AT_ONE_POINTS);break;

result = new BigDecimal(INTEREST_RATE_AT_TWO_POINTS);break;

System.err.println("Invalid value for points, must be 0, 1 or 2");System.err.println("Returning null value for interest rate");result = null;case 0:case 1:case 2:default:
BigDecimal result = new BigDecimal(INTEREST_RATE_AT_ZERO_POINTS);switch (points) {}return result;public static final String INTEREST_RATE_AT_ZERO_POINTS = "5.00";public static final String INTEREST_RATE_AT_ONE_POINTS = "4.75";public static final String INTEREST_RATE_AT_TWO_POINTS = "4.50";...public BigDecimal getInterestRate(int points) {}

Example - 3

In the following Python example the match-case statements (available in Python version 3.10 and later) perform actions based on the result of the process_data() function. The expected return is either 0 or 1. However, if an unexpected result (e.g., -1 or 2) is obtained then no actions will be taken potentially leading to an unexpected program state.


print("Properly handle zero case.")
print("Properly handle one case.")case 0:case 1:
result = process_data(data)match result:# program execution continues...

The recommended approach is to add a default case that captures any unexpected result conditions, regardless of how improbable these unexpected conditions might be, and properly handles them.


print("Properly handle zero case.")
print("Properly handle one case.")
print("Properly handle unexpected condition.")case 0:case 1:case _:
result = process_data(data)match result:# program execution continues...

Example - 4

In the following JavaScript example the switch-case statements (available in JavaScript version 1.2 and later) are used to process a given step based on the result of a calcuation involving two inputs. The expected return is either 1, 2, or 3. However, if an unexpected result (e.g., 4) is obtained then no action will be taken potentially leading to an unexpected program state.



alert("Process step 1.");break;

alert("Process step 2.");break;

alert("Process step 3.");break;case 1:case 2:case 3:
let step = input1 + input2;switch(step) {}// program execution continues...

The recommended approach is to add a default case that captures any unexpected result conditions and properly handles them.



alert("Process step 1.");break;

alert("Process step 2.");break;

alert("Process step 3.");break;
alert("Unexpected step encountered.");case 1:case 2:case 3:default:
let step = input1 + input2;switch(step) {}// program execution continues...

Example - 5

The Finite State Machine (FSM) shown in the "bad" code snippet below assigns the output ("out") based on the value of state, which is determined based on the user provided input ("user_input").




state = 3'h0;

3'h0:3'h1:3'h2:3'h3: state = 2'h3;3'h4: state = 2'h2;3'h5: state = 2'h1;if (!rst_n)elsecase (user_input)endcase
beginendout <= {1'h1, state};module fsm_1(out, user_input, clk, rst_n);input [2:0] user_input; input clk, rst_n;output reg [2:0] out;reg [1:0] state;always @ (posedge clk or negedge rst_n )endmodule

The case statement does not include a default to handle the scenario when the user provides inputs of 3'h6 and 3'h7. Those inputs push the system to an undefined state and might cause a crash (denial of service) or any other unanticipated outcome.Adding a default statement to handle undefined inputs mitigates this issue. This is shown in the "Good" code snippet below. The default statement is in bold.



<xhtml_b>default: state = 2'h0;</xhtml_b>3'h0:3'h1:3'h2:3'h3: state = 2'h3;3'h4: state = 2'h2;3'h5: state = 2'h1;case (user_input)endcase

Related Weaknesses

This table shows the weaknesses and high level categories that are related to this weakness. These relationships are defined to give an overview of the different insight to similar items that may exist at higher and lower levels of abstraction.

Visit http://cwe.mitre.org/ for more details.